• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 32건

- 알람 기능을 가진 디지털시계 설계 - SW0 : 시계를 Set하는 신호 -> 0 : 모든 기능 정지, 1 : 동작 SW1 : view mode select signal -> 0 : Am, Pm 1시~12시, 1 : 0시~23시 SW2 : 알람 기능 on/off signal (알람은 LED를 통해 표현한다.) - [key 0] : mode selec
  • 페이지 20페이지
  • 가격 3,000원
  • 등록일 2009.06.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Digital Watch Tool : Altera QuartusⅡ Device family : CycloneⅡ Device : EP2C50F672C6 Hardware : Altera DE2 Board - 목표 - vhdl을 활용하여 디지털 시계(Digital watch)를 설계한다. - 기능 - 1. 일반적인 시계 기능 : 셋팅 가능. 2. 알람 기능 : 해당 설정 시간에
  • 페이지 21페이지
  • 가격 4,000원
  • 등록일 2012.08.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Ⅰ. 목 표 보고서에서는 AVR을 이용한 디지털 알람시계를 만드는 법에 대하여 알아보도록 한다. 본 디지털 알람시계는 00:00:00 ~ 23:59:59 의 시간범위로 운용되며 AVR의 타이머/카운터 기능을 베이스로 ‘1초’를 카운팅하여 시간을 구성한다. 그
  • 페이지 30페이지
  • 가격 7,000원
  • 등록일 2015.12.04
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
알람부분은 초부분이 없는 것 빼고 시계부분과 같다.) ⑥ 비교기 디지털시계와 알람시계의 출력값을 비교하여 ‘1’을 출력 ⑦ 알람 출력 비교기의 마지막 AND게이트에서 나온 출력에 의하여 클락 펄스가 발생, 스피커가 출력된다. 부품목록
  • 페이지 5페이지
  • 가격 2,000원
  • 등록일 2011.12.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ALTERA MAX+PLUS Ⅱ를 사용한 디지털 시계 LCD와 7세그먼트로 시간을 표현 스탑워치와 알람기능포함 도트메트릭스로 시간을 표현 핀설정은 다 되어있음 
  • 페이지 20페이지
  • 가격 10,000원
  • 등록일 2008.12.12
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 1건

기능 4) 알람모드 - 알람시간 셋팅할 세그먼트 깜빡거림으로 표시 - 알람 울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스,
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 2건

알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기억으로 남아있다는 것은 분명히 제가 연구/개발을 하는
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
로그래밍의 재미를 느꼈던 ‘디지털 회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설계해 보았습니다. 이러한 지식을 바탕으로 더
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top