• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 385건

2. 회로도 3. 소스코드 4. 실험결과 5. 기능별 원리 및 동작해석 (1) Timer/counter를 이용한 ‘1초’ 카운트 (2) 키 입력, 키 디바운싱 처리 (3) FND Dynamic Display (4) EEPROM 시간데이터 저장과 불러오기 (5) 알람기능 (6) 부저기능 (7) 시간설정기능
  • 페이지 30페이지
  • 가격 7,000원
  • 등록일 2015.12.04
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1 를 CTC 모드로 사용하였다. 그리고 프리스케일러의 분주비는 256, OCR1A 의 값은 624이므로 계산은 다음과 같다. Ⅰ. 목 표 Ⅱ. 개발환경 Ⅲ. AVR을 이용한 스탑워치 설계 1. 부품리스트 2. 회로도 3. 소스코드 4. 실험결과 5. 원리 및 동작
  • 페이지 11페이지
  • 가격 5,000원
  • 등록일 2015.10.28
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
알람울림 { Beep(); PORTB=0xF0; } } } } ▶전체 프로그램의 flow chart ▶디지털시계 작동 결과물 ~별도 첨부 <타이머/카운터를 이용한 디지털시계 설계> ▶이론 및 배경 ▶타겟 보드(ATmega128 보드)설명 및 회로도 첨부 ▶프로그램 소스파일 분석
  • 페이지 10페이지
  • 가격 1,500원
  • 등록일 2011.12.17
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
19 까지의 주소를 참조한다. Ⅰ. 목 표 Ⅱ. 개발환경 Ⅲ. 실험 1. EEPROM에 데이터 쓰기 1) 실험개요 2) 부품리스트 3) 소스코드 4) 실험결과 5) 원리 및 동작해석 2. EEPROM의 데이터 읽기 1) 실험개요 2) 부품리스트 3) 회로도 4) 소스코
  • 페이지 16페이지
  • 가격 4,000원
  • 등록일 2015.11.16
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 습도계였다. 하지만 디지털 습도계를 구현한 다음 다른 여러 기능을 추가하는 것이 좋을 것 같아서, 하나씩 기능을 연구하고 추가해나갔다. 가장 먼저 LCD의 윗줄에 시계를 표시하는 것이었고, 그 밑줄에 습도를 표시를 하였다. 습도와
  • 페이지 49페이지
  • 가격 5,000원
  • 등록일 2009.05.11
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 13건

알람 울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진, 회로도 등이 포함되어 있습니다. 
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
1302 3장. 2절. 6 KEY PAD 3장. 2절. 7 TSL250RD 3장. 2절. 8 MAX232CSE 3장. 2절. 9 ZigBee 3장. 3절 소프트웨어 설계 3장. 3절. 1 순서도 3장. 3절. 2 MCU(Atmega128)핵심코드(타이머/인터럽트 설정) 3장. 3절. 3 MCU (Atmega128)핵심코드(UART 설정) 3장. 3절. 4
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
1 조작부 조작부 회로도를 작성해보았다. 4.1.1 전체 [그림 4-1] 회로도 전체 4.1.2 ATmega128 [그림 4-2] ATmega128 4.1.3 JTAG Port [그림 4-3] JTAG Port 4.1.4 Power(+3.3v) [그림 4-4] Power(+3.3v) 4.1.5 RF(Zigbee) [그림 4-5] RF(Zigbee) 4.1.6 TFT-LCD [그림 4-6] TFT-LCD 4.2 동작부 4.2.1
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
1 전원부 ----------------- 3.2 DC 모터 ----------------- 3.3 서보 모터 ----------------- 3.4 프린터 포트 ----------------- 3.5 USB 캠 ----------------- 3.6 동작원리 ----------------- 3.7 영상처리 후 AVR로 데이터 전송 ----------------- 4. 결론 ----------------- 4.
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
AVR 마이크로프로세서 길잡이(2002), 신대섭, 세화 마이크로컨트롤러(2006), 조규만, 태영문화사 로봇스터디 ATmega8538(2006), 이재창, 동일출판사 AVR ATmega128(2006), 신동욱, Ohm사 『마이크로 프로세서를 이용한 장애물 회피 6족 로봇에 관한 연구』논문
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 13건

1. 현대모비스 해당 직무 분야에 지원하게 된 이유를 기재해 주시기 바랍니다.[200자 이상 700자 이내] 2. 본인이 지원분야에 적합하다고 판단할 수 있는 이유 및 근거를, 본인의 노력과 경험을 바탕으로 제시해 주시기 바랍니다.[200자 이상 10
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로도를 해석하는 과정이 흥미로웠습니다. 또한, 현장 업무의 편의성을 위해 Atmega128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry 보드를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 IDE
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
회로도를 해석하는 과정이 흥미로웠습니다. 또한, 현장 업무의 편의성을 위해 Atmega128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry 보드를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 IDE
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
회로도를 해석하는 과정이 흥미로웠습니다. 또한, 현장업무의 편의성을 위해 Atmega128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry Board를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 GPIO, A
  • 가격 4,500원
  • 등록일 2023.07.30
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
회로도를 해석하는 과정이 흥미로웠습니다. 또한, 현장업무의 편의성을 위해 Atmega128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry Board를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 GPIO, A
  • 가격 5,000원
  • 등록일 2024.05.26
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top